在vivado仿真中,没有提供直接导出波形文件的功能,我们要导出波形文件可以按照下面方式进行:

1、运行仿真后,在simulation界面的TCL中运行一下命令:
  • open_vcd
  • log_vcd [get_object /testbench/module/*] //这里选组要导出的信号,*表示模块module下的所有信号
  • run 50us //运行仿真
  • close_vcd
2、上述步骤完成后,我们就可以查看文件了,路径如下:

项目工程\mcu.sim\sim_1\synth\timing\xsim\dump.vcd
可以使用gtkwave直接打开查看信号了: